2020-01-01から1年間の記事一覧

RISC-Vの実装sodorを見てみる

はじめに chiselの勉強がてらに何か実装してみようと考えたところ、当然のごとくRISC-Vが引っ掛かったので色々調べてみた。 RISC-VのISAなどは眺めてみたもののCPUを1から実装したことがなく、全体像が見えなかったためすでにある実装を参考にさせてもらった…

Cyclone V Soc でLチカ

前回の記事ではDE10-nanoの起動用SDカードを作成した 今回の記事ではFPGAをコンフィグしてFPGA側のLEDをC言語のプログラムで操作する (何番煎じだというかんじですが) 設定手順 今回は下記の手順で設定を行う FPGAデータの作成 u-bootからのFPGAコンフィグ…

DE10-Nanoブート用のイメージの作成手順について

DE10-nanoで色々試す(遊ぶ)ためにLinuxカーネルやブートローダ、ディストリビューションのビルドを行ったのでその手順を備忘録としてまとめる 背景 今回、DE10-nanoで遊んでみようと思ったのはHDLを色々かいて勉強する際にFPGAで実際に動かしてみようと思…

非同期回路の2段FFについて

FPGAで非同期入力に対してはFFを2段挿入することは知っていたがその理由をよくわかっていなかったので調べて分かったことを(投稿の練習も含めて)備忘録として書いておく 主に参考にしたのは以下の2つのサイトです 1 . 非同期クロック と 検証手法−1 - 半導…